Skip to content

台積電與英特爾季淨利比較 2001年Q1到2024年Q2 | 台積電(淨利82億美元)在晶圓代工市場碾壓英特爾(淨利負16億美元)

台積電與英特爾季淨利比較 2024年Q2

台積電與英特爾季淨利比較 2001年Q1到2024年Q2

在動畫中,我們比較了世界上兩大晶圓代工龍頭的每季淨利,分別是台積電和英特爾,比較的期間是從2001年第一季到2024年第二季,可以看到大約在2020年之前,大部分的時間英特爾的淨利是大於台積電的,其實英特爾有好幾世紀主導著電腦晶片市場,其有廣為人知的i系列晶片,包括i3、i5、i7以及i9的高階晶片,目前英特爾仍然是電腦晶片的主要領導者,但也有著競爭者的崛起,比方說用台積電晶圓代工的AMD電腦晶片,我自己就是買搭載AMD Ryzen處理器的宏碁電競筆電。

桌面電腦、筆記型電腦的處理器和相關晶片佔英特爾總營收的四成到五成。

英特爾其他營收來源還包括數據中心與AI佔約30%,網絡與邊緣計算佔約15~30%,高性能圖形處理器(GPU)佔約5%,GPU這塊市場主要被輝達主導著,以及晶圓代工服務。

台積電在晶圓代工市場碾壓英特爾

總的來講,英特爾是做半導體的設計和製造的一條龍服務,而台積電則專注在晶圓代工,而台積電在2022年第二季開始到目前的兩年內淨利都超越英特爾,就是單單靠這個晶圓代工,英特爾甚至在今年的前兩季都處於虧損狀態,而台積電是連續23年以上每季都維持獲利,非常不容易,在最近的一季,2024年第二季,台積電的淨利為82億美元,而英特爾則是虧損16億美元,這給我們一個啟發,那就是單純把一件事專注做到最好,可以建立起強大的長久的比較競爭優勢,後面我們還會分享兩個台積電優於英特爾的故事,現在我們先來聊聊為什麼英特爾要重回晶圓代工市場。

英特爾在2021年重新進入晶圓代工市場,要和台積電競爭

英特爾重新進入晶圓代工市場的關鍵時刻是在2021年,當時該公司宣布了其名為Intel Foundry Services (IFS) 的新業務部門,這是英特爾大規模進入晶圓代工市場的一個戰略舉措,目標是與台積電(TSMC)和三星等領先代工廠展開競爭。

1. 背景與目標

  • 宣佈時間:2021年3月,英特爾新任CEO帕特·基辛格(Pat Gelsinger)上任後提出了這一計畫,作為其大規模戰略重組的一部分,稱之為IDM 2.0 戰略。
  • 主要目標:英特爾希望通過Intel Foundry Services進一步進入晶圓代工市場,將其製造能力開放給其他半導體設計公司,並減少對台積電和三星等主要競爭對手的依賴。此外,英特爾希望通過這一業務拓展其全球製造業務,特別是在美國和歐洲建立更多晶圓廠,並獲得政府的資金和支持。

2. 競爭與挑戰

  • 競爭對手:台積電和三星在晶圓代工市場已經建立了強大的市場領導地位。台積電在全球晶圓代工市場中的市佔率超過50%,而三星則專注於高性能製程。英特爾的挑戰在於快速提升其製造技術並縮小與這些公司的差距。
  • 技術差距:台積電和三星在先進製程技術(如5奈米、3奈米製程)上領先英特爾,但英特爾正在加快技術進展,目標是在2024年前追上競爭對手的先進製程。

歡迎來到Sunfortzone,我們的目標是幫助價值投資者更了解他們投資的企業,以及成為一個更好的人。

若您想要在價值投資的路上成長,請訂閱我們的Youtube頻道,就可以獲得更多有價值的內容。

華爾街靠頻繁交易賺錢,而我們作為價值投資者是靠長期持有賺錢。


英特爾擴大委外代工給台積電

Intel 放棄 20A 製程,原本預計將在 2024 年上市的 Arrow Lake 將放棄使用自家的 Intel 20A 製程,委由台積電生產,Intel 僅負責最後的封裝。公司稱此舉可以節省 5億美元,並將資源投注在更有潛力的18A製程。

Intel 20A製程對公司來說為過渡的製程,相比首批導入RibbonFET 及 PowerVia 技術的節點,發展到第二代並且採用 High-NA 的 Intel 18A 的節點技術更成熟,良率更好。公司稱 7 月推出的 18A PDK 1.0 已收到正面迴響,並且於 2025年上半年將會有客戶完成 tape out。加上上次法說會上公司也強調 2025 下半年將透過 Panther Lake(18A 製程)拉開領先地位,並且改善公司利潤。

近期來說,改善現金流為首要任務,調整虧損最為嚴重的晶圓廠將使 Intel 續命,雖然將 Arrow Lake 外包將會面臨與 Lunar Lake 一樣的結果,即便算力具有競爭優勢,但成本將會居高不下,拖累公司毛利率。不過公司原先預計將高階的 Arrow Lake 外包給外部晶圓廠,中低階的 Arrow Lake 才會交給內部生產,因此放棄 20A 製程對公司衝擊相對較小。

放棄20A專心發展18A是好的策略,能夠把戰線拉長,有機會在未來超車。不過仍然要面對多項挑戰:

  1. 晶圓廠仍然很難與晶片設計部門完全拆開,競爭對手不易冒著技術流出的風險投片給英特爾代工。
  2. 投片量不足的情況下,基地主要又位於歐美地區,成本難以壓低。
  3. 18A 的技術需持續觀察,雖然公司稱已經有初步的成果,但近期又有新聞提到博通對於 Intel 18A 晶圓測試結果不滿意,並未達到量產的標準。

另外,公司於近期會議上提及晶片補貼的規則,大致上根據公司達成的目標分批給錢,其中包含了廠房興建、安裝設備、晶圓產量和取得客戶等。取消20A 的製程有可能會影響到來自政府的補助款項,對於公司取得關鍵資金無疑是一大難題。 此次事件將影響下調 2025年的毛利率及營業費用,同時認為 AI CPU Arrow Lake 可能會因為放棄內部生產而使得中低階的品項競爭力下滑而拉低銷量。

英特爾因為生產成本太高,錯失拿到Sony Play Station大單的機會

英特爾在2022年失去了為索尼PlayStation 6設計和製造晶片的重大機會,這對其發展代工業務的努力是一大挫折。英特爾與AMD和台積電競爭這項合約,該合約的價值可能達數十億美元。儘管英特爾與索尼進行了長期討論,但由於價格上的分歧,最終未能達成協議,讓AMD成功取得合約。

這項合約本可為英特爾提供穩定的長期收入,並促進其新興的晶圓代工業務。然而,由於擔心與AMD在先前PlayStation晶片設計中的參與有關的向後相容性問題,這也是索尼在決定新代工夥伴的一大考量。這次損失加劇了英特爾在與Nvidia和AMD在AI晶片競爭中的困境,同時也難以吸引客戶採用其先進的18A製程。

英特爾目前面臨大規模裁員的挑戰,並內部討論某些業務單位的未來,包括停止德國建廠與將晶圓代工業務分割出去。如果能與索尼達成這項合約,英特爾本可以藉此在晶片製造市場中站穩腳跟,但現在公司仍在尋找高知名度的客戶來推動其代工業務發展。


感謝您提供寶貴的時間!

如果您喜歡我們的內容,請訂閱我們的Youtube頻道,就可以得到更多有價值的內容。

此外,請訪問我們的網站Sunfortzone.com以獲取更多數據驅動的基本面分析,並加入我們的Discord社群與其他投資者一同討論交流。

如果您覺得我們的內容做得不錯,請務必點擊下方美股券商「盈透證券」的連結去進行免費開戶!只要透過此推薦連結開戶,每入金一百美元可獲得1美元價值的IBKR股票,最多可獲得價值一千美元的IBKR股票!

IB盈透證券專屬開戶優惠連結
IB盈透證券專屬開戶優惠連結

你有什麼想法?請在下方留言!我們也想向您學習一些東西!